AN 917: Reset Design Techniques for Intel® Hyperflex™ Architecture FPGAs

ID 683539
Date 1/05/2021
Public
Document Table of Contents

1.3.1.1. Adding SDC Constraints to Asynchronous Reset Circuitry

After correcting the asynchronous related issues in the reset circuitry for this example, Design Assistant reports two violations for the two new registers because rstb drives their asynchronous reset pins. However, the rstb clock domain is unrelated or asynchronous to the latching domain of the register.
Figure 12. New Registers with Asynchronous Reset Driven by rstb

Design Assistant detects that the two registers are reset synchronizers in this instance, causing another Design Assistant rule violation for rule-ID RES-50003 Asynchronous Reset Missing Timing Constraint.

Design Assistant reports that the reset signal feeding the asynchronous reset synchronizer is missing timing constraints:
Figure 13. RES-50003 Rule Violations

To resolve the violations in this example, perform the following steps:

  1. Check the rule Description and Recommendation.
    Figure 14. RES-50003 Rule Recommendation
  2. To implement the Recommendation, add the following constraint to the project SDC file:
    set_false_path -from [get_ports {rstb}]

The RES violations disappear after compiling the sample circuitry with the fixes.

Figure 15. RES Violations Resolved