Intel® Agilex™ Variable Precision DSP Blocks User Guide

ID 683037
Date 11/17/2022
Public

A newer version of this document is available. Customers should click here to go to the newest version.

Document Table of Contents

9.7. Parameters

The following table lists the parameters for the LPM_DIVIDE Intel FPGA IP core.