Intel® Agilex™ Variable Precision DSP Blocks User Guide

ID 683037
Date 11/17/2022
Public

A newer version of this document is available. Customers should click here to go to the newest version.

Document Table of Contents

9.2. Features

The LPM_DIVIDE IP core offers the following features:

  • Generates a divider that divides a numerator input value by a denominator input value to produce a quotient and a remainder.
  • Supports data width of 1–256 bits.
  • Supports signed and unsigned data representation format for both the numerator and denominator values.
  • Supports area or speed optimization.
  • Provides an option to specify a positive remainder output.
  • Supports pipelining configurable output latency.
  • Supports optional asynchronous clear and clock enable ports.