Intel® Quartus® Prime Standard Edition User Guide: Getting Started

ID 683475
Date 12/16/2019
Public
Document Table of Contents

2.10.4.1. Specify Project Libraries With SEARCH_PATH Assignment

In Tcl, use commands in the :: quartus ::project package to specify project libraries, and the set_global_assignment command.

Use the following commands to script project library changes:

  • set_global_assignment -name SEARCH_PATH "../other_dir/library1"
  • set_global_assignment -name SEARCH_PATH "../other_dir/library2"
  • set_global_assignment -name SEARCH_PATH "../other_dir/library3"