Intel® Quartus® Prime Standard Edition User Guide: Getting Started

ID 683475
Date 12/16/2019
Public
Document Table of Contents

4.9.1. Generating IP Simulation Files

The Intel® Quartus® Prime software optionally generates the functional simulation model, any testbench (or example design), and vendor-specific simulator setup scripts when you generate an IP core. To control the generation of IP simulation files:
  • To specify your supported simulator and options for IP simulation file generation, click Assignment > Settings > EDA Tool Settings > Simulation.
  • To parameterize a new IP variation, enable generation of simulation files, and generate the IP core synthesis and simulation files, click Tools > IP Catalog.
  • To edit parameters and regenerate synthesis or simulation files for an existing IP core variation, click View > Project Navigator > IP Components.
  • To edit parameters and regenerate synthesis or simulation files for an existing IP core variation, click View > Utility Windows > Project Navigator > IP Components.
Table 13.   Intel FPGA IP Simulation Files
File Type Description File Name
Simulator setup scripts Vendor-specific scripts to compile, elaborate, and simulate Intel® FPGA IP models and simulation model library files.
Note: For Intel® Arria® 10 designs, you can use the Intel® Quartus® Prime software to automatically create a combined simulator setup script. Refer to Scripting IP Simulation in the Introduction to Intel® FPGA IP Cores for more information.

<my_dir>/aldec/riviera_setup.tcl

<my_dir>/cadence/ncsim__setup.sh

<my_dir>/mentor/msim_setup.tcl

<my_dir>/synopsys/vcs/vcs_setup.sh

<my_dir>/synopsys/vcsmx/vcsmx_setup.sh

Simulation IP File ( Intel® Quartus® Prime Standard Edition) Contains IP core simulation library mapping information. To use NativeLink, add the .qip and .sip files generated for IP to your project. <design name>.sip
IP functional simulation models ( Intel® Quartus® Prime Standard Edition) IP functional simulation models are cycle-accurate VHDL or Verilog HDL models a that the Intel® Quartus® Prime software generates for some Intel FPGA IP cores. IP functional simulation models support fast functional simulation of IP using industry-standard VHDL and Verilog HDL simulators.

<my_ip>.vho

<my_ip>.vo

IEEE encrypted models ( Intel® Quartus® Prime Standard Edition) Intel provides Arria® V, Cyclone® V, Stratix® V, and newer simulation model libraries and IP simulation models in Verilog HDL and IEEE-encrypted Verilog HDL. Your simulator's co-simulation capabilities support VHDL simulation of these models. IEEE encrypted Verilog HDL models are significantly faster than IP functional simulation models. The Intel® Quartus® Prime Pro Edition software does not support these models. <my_ip>.v
Note: Intel® FPGA IP cores support a variety of cycle-accurate simulation models, including simulation-specific IP functional simulation models and encrypted RTL models, and plain text RTL models. The models support fast functional simulation of your IP core instance using industry-standard VHDL or Verilog HDL simulators. For some IP cores, generation only produces the plain text RTL model, and you can simulate that model. Use the simulation models only for simulation and not for synthesis or any other purposes. Using these models for synthesis creates a nonfunctional design.