Intel® Quartus® Prime Pro Edition User Guide: Design Compilation

ID 683236
Date 12/04/2023
Public

A newer version of this document is available. Customers should click here to go to the newest version.

Document Table of Contents

2.15. Synthesis Language Support

The Intel® Quartus® Prime software synthesizes standard Verilog HDL, VHDL, and SystemVerilog design files.