Low Latency E-Tile 40G Ethernet Intel® FPGA IP Design Example User Guide

ID 683747
Date 6/22/2020
Public

2.2. Hardware and Software Requirements

To test the example design, use the following hardware and software:
  • Intel® Quartus® Prime Pro Edition software
  • System Console
  • ModelSim* , VCS* , VCS* MX, NCSim, or Xcelium* Simulator
  • Intel Stratix 10 TX E-Tile Transceiver Signal Integrity Development Kit or Intel Agilex F-series Transceiver-SoC Development Kit