AN 813: Hierarchical Partial Reconfiguration over PCI Express* Reference Design: for Intel® Arria® 10 Devices

ID 683730
Date 1/20/2021
Public
Document Table of Contents

1.9. Reference Design Files

Table 10.  Reference Design Files List
Type File/Folder Description
IP files

Contains the IP files for the Intel® Arria® 10 External Memory Interfaces IP core, Intel® Arria® 10 Hard IP for PCI Express* IP core, and devkit pins.

Contains the IP file for the Intel® Arria® 10 Partial Reconfiguration Controller IP core, system description ROM, calibration I/O, and all the interface components.

Contains the freeze bridges, the region controller, and the JTAG SLD agent.

Contains all the IP files for the register file system, that is common across all personas.

Contains the JTAG SLD host for the PR region signal tapping. These files are applicable to all the personas.

Contains the JTAG SLD agent for the PR region signal tapping. These files are applicable to the static region.

Contains the IP files for the EMIF logic inside the PR persona.

Platform Designer System Files
Contains the following three Platform Designer subsystems:
  • bsp_top.qsys—top-level subsystem, containing the PCIe* IP core and the External Memory Interfaces IP core.
  • design_top.qsys—static region, encompassing all the Avalon memory mapped interface logic, reset logic, and PR Region Controller IP core.
  • pr_subsystem.qsys—contains all the logic to communicate and interact with the PR region.
SystemVerilog design files

Contains the top-level wrapper. Also contains the SystemVerilog description for generic components in the three subsystems, and the PR region wrapper.

Contains all the source files for the basic DSP persona.

Contains all the source files for the basic arithmetic persona.

Contains all the source files for the DDR4 access persona.

Contains all the source files for the Game of Life persona.

Contains all the source files for the parent persona.

Example personas that use the template for persona configuration. These examples demonstrate integrating a custom persona RTL into the reference design.

Memory files

Used for system description ROM.

Synopsys Design Constraints Files

Synthesis constraints for the design.

Provides exceptions.

Auto-generated constraints from pcie_subsystem_alt_pr.ip file.

Intel® Quartus® Prime Project File

Contains all the revisions.

Intel® Quartus® Prime Settings Files

Base revision settings file for single DDR4 access persona.

Implementation revision settings file for the parent persona with two DDR4 access personas.

Implementation revision settings file for the parent persona with two basic DSP personas.

Implementation revision settings file for the parent persona with two basic arithmetic personas.

Implementation revision settings file for the parent persona with two Game of Life personas.

Implementation revision settings file for a single DDR4 access persona without the parent persona.

Implementation revision settings file for a single basic arithmetic persona without the parent persona.

Implementation revision settings file for a single Game of Life persona without the parent persona.