Avalon Verification IP Suite: User Guide

ID 683439
Date 2/17/2022
Public
Document Table of Contents

8.4.7. get_response_latency()

Prototype:

get_response_latency()

Arguments:

Verilog HDL: None

VHDL: response_latency, bfm_id, req_if(bfm_id)

Returns:

int

Description:

Returns the response latency in cycles due to back pressure for the most recently removed transaction.
Language support: Verilog HDL, VHDL