Quartus® Prime Standard Edition User Guide: Design Compilation

ID 683283
Date 10/22/2021
Public
Document Table of Contents

3.2.6.2. Specifying a Destination Library Name in the Intel® Quartus® Prime Settings File or with Tcl

You can specify the library name with the -library option to the <language type>_FILE assignment in the Intel® Quartus® Prime Settings File (.qsf) or with Tcl commands.

For example, the following assignments specify that the Intel® Quartus® Prime software analyzes the my_file.vhd and stores its contents (design units) in the VHDL library my_lib, and then analyzes the Verilog HDL file my_header_file.h and stores its contents in a library called another_lib.

set_global_assignment –name VHDL_FILE my_file.vhd –library my_lib
set_global_assignment –name VERILOG_FILE my_header_file.h –library another_lib